SEMOZON® AX8585 STAND-ALONE OZONE DELIVERY SYSTEM WITH THE AX8410 PRIME OZONE GENERATOR FOR ADVANCED PROCESSES

2022-04-18
■The SEMOZON® AX8585 stand-alone ozone gas delivery system is designed around the AX8410 PRIME ozone generator to provide high flow, high concentration, ultra clean ozone generation and delivery. This fully configurable product line is designed to meet the ever changing needs of the semiconductor industry. Each SEMOZON AX8585 is a fully integrated, high output ozone gas delivery system intended for use in an increasing number of semiconductor process applications such as ALD, CVD, TEOS/Ozone CVD, photoresist strip, wafer cleaning, contaminant removal, and oxide growth. The system can be configured as a multi-channel system delivering ozone for up to 4 channels supporting multiple chambers or multiple tools. Flow rates of up to 200 slm and concentrations up to 375 g/Nm3can be achieved depending on the configuration of the system.
●Features & Benefits
■The SEMOZON AX8585 can provide concentrations up to 375 g/Nm% a higher flow rates to meet newer process requirements
■Ozone is an environmentally friendly chemical which converts back to benign O2 avoiding the need for hazardous chemical disposal
■Ozone is generated at point of use, no storage or transportation is required
■Ozone has a high redox potential reducing oxidation time and increasing throughput
■The fully integrated Ozone Delivery system allows for a fast, easy installation and quick start up with minimum connections required
■MKS patented, field-proven ozone generating technology ensures high flow, high concentration, ultra clean ozone generation and delivery
■The SEMOZON AX8585 can support single or multiple process tools for maximum efficiency and a lower cost of operation
■The modular design of the SEMOZON AX8585 allows each channel to be process matched for maximum flexibility
■Closed-loop concentration control provides tighter process control for higher yields
■User friendly controller with touch screen provides easy, central controls for interfacing with the tool, setting ozone concentration and flow rate, and safety monitoring

MKS Instruments

AX8585AX8410

More

Part#

STAND-ALONE OZONE DELIVERY SYSTEM

More

semiconductor process applications ]ALD ]CVD ]TEOS ]Ozone CVD ]photoresist strip ]wafer cleaning ]contaminant removal ]oxide growth ]

More

Datasheet

More

More

Please see the document for details

More

More

English Chinese Chinese and English Japanese

2/18

775 KB

  • +1 Like
  • Add to Favorites

Recommend

All reproduced articles on this site are for the purpose of conveying more information and clearly indicate the source. If media or individuals who do not want to be reproduced can contact us, which will be deleted.

Contact Us

Email: