WP436 (v1.1) January 5, 2015 www.xilinx.com 1
© Copyright 2013–2015 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx
in the United States and other countries. PCI, PCIe, and PCI Express are trademarks of PCI-SIG and used under license. All other trademarks are the property of their respective
owners.
Power is increasingly becoming a key differentiator
for the creation of next-generation semiconductor
products at deep sub-micron geometries that require
tight thermal and power budgets. The key challenge
being faced by many manufacturers of electronics
systems is the ability to accurately estimate their
designs’ power budgets — and then achieve those
budget targets with power optimization solutions.
Based on a solid foundation of power understanding
and planning, Xilinx has delivered, with the 28 nm
7 series FPGAs, the most effective power solutions in
the industry, providing 28HPL process benefits,
stable power specifications, ready parts availability,
low-power solutions, and best-in-class power tools.
The Xilinx power tools’ estimation and optimization
methods are showcased using design suite analysis
on actual customer designs, highlighting the power
tools’ capabilities.
White Paper: 7 Series FPGAs
WP436 (v1.1) January 5, 2015
Leveraging Power Leadership at 28 nm
with Xilinx 7 Series FPGAs
By: Matt Klein and Srinivasa Kolluri
2 www.xilinx.com WP436 (v1.1) January 5, 2015
Introduction
Introduction
Changing market demands and the increasing complexity of systems motivate
customers to achieve the best possible performance at each technology node. Faced
with shorter product life spans and compressed time-to-market requirements, FPGAs
are a viable, highly attractive customer production choice. Power consumption has
become one of the primary concerns for customers migrating from ASSPs/ASICs to
FPGAs. Xilinx® FPGAs have consistently delivered innovative low-power solutions
by researching and implementing strategies based on an ideal mix of low-power
architectures, process improvements, voltage-scalable products, and
power-optimization techniques.
As the only 28 nm FPGAs fabricated on a high-performance, low-power process
(28HPL), 7 series devices offer lower total power consumption and superior
performance per watt compared to competing solutions. Architectural and block-level
innovations unique to Xilinx add to the power advantages at every level. In addition,
to ensure a smooth production rollout, 7 series FPGAs provide credible power
estimation and optimization, stable power specifications, and robust availability of
lower-power parts.
Process Technology: 28HPL Process Benefits
The 28HPL process technology has lower leakage and eliminates the need for complex
and expensive static power management schemes in the FPGA design. The larger
design headroom (voltage headroom) in the HPL process allows the choice of
operating V
CC
at a wider range of values and enables a flexible power/performance
strategy—which is not possible with the 28HP process. The benefits of 28HPL also
include:
High-Performance Mode (V
CC
= 1.0V): 28HPL offers comparable performance to
28HP at lower static power in the performance target range for FPGAs.
Low-Power Modes (V
CC
= 0.95V, 0.9V): 28HPL offers 65% lower static power
than 28HP. The headroom in 28HPL allows Xilinx to offer multiple low power
speed grades (-1LI, -2LI, and -2LE). Significant power savings are made possible
with the -2LI which offers full -2 performances along with 10% savings on
dynamic power and 40% savings on static power. The -1LI delivers the absolute
lowest static power with -1 performance along with 10% savings on dynamic
power.
The net result is that competing FPGAs built with the 28HP process have no
performance advantage over 7 series FPGAs, while some of the competing FPGAs
come with the severe penalty of >2X the static power and have challenges in reducing
leakage. See WP389
, Lower Power at 28 nm with Xilinx 7 Series FPGAs.
Stable Power Specifications
Every 7 series device is tested to published maximum static power specifications to
ensure power consumption meets or is typically below expectations. Competing
28 nm solutions have had to raise static power specifications near or after production,
or simply failed to report maximum static power altogether, forcing designers to
re-evaluate system demands.
The 7 series devices have a stable specification for core operating voltage, and Xilinx
has delivered on providing standard production devices that meet published static